fbpx
NewsTech

Intel introduce una nuova tecnologia di alimentazione per i chip

Intel 20A e Intel 18A introdurranno sia la tecnologia di alimentazione dalla parte posteriore PowerVia sia la tecnologia Gate-all-around RibbonFET.

Intel è la prima azienda del settore a implementare l’alimentazione dalla parte posteriore di un chip di prova con le stesse caratteristiche del prodotto finito. Ottenendo così un livello di prestazioni tale da proiettare il mondo verso una nuova era dell’informatica. PowerVia, che sarà inserito sul nodo di processo Intel 20A nella prima metà del 2024, è una soluzione leader nell’alimentazione dalla parte posteriore. Risolve il crescente problema dei colli di bottiglia all’interconnessione dell’area scaling spostando il percorso dell’alimentazione alla parte posteriore del wafer.

Intel implementa l’alimentazione dalla parte posteriore del chip: come funziona

Intel ha disaccoppiato lo sviluppo di PowerVia da quello dei transistor per assicurare che fosse pronto per l’implementazione su silicio basata sui nodi di processo Intel 20A e Intel 18A. PowerVia è stato collaudato sul suo stesso nodo di test interno per eseguire il debug e garantire una buona funzionalità della tecnologia prima della sua integrazione in Intel 20A con RibbonFET.

Dopo la fabbricazione e il test su un chip di prova in silicio, è stato confermato che PowerVia offre un utilizzo altamente efficiente delle risorse del chip, più del 90% delle celle. Ma anche un’importante scalabilità dei transistor, consentendo ai progettisti di ottenere maggiori prestazioni ed efficienza nei loro prodotti. Intel presenterà questi risultati in occasione del VLSI Symposium dall’11 al 16 giugno a Kyoto.

Intel implementa l’alimentazione dalla parte posteriore del chip grazie a PowerVia

PowerVia è molto più avanti rispetto alle soluzioni di alimentazione dalla parte posteriore della concorrenza. Offrendo ai progettisti di chip, inclusi i clienti di Intel Foundry Services (IFS), un percorso più rapido verso importanti guadagni in termini di consumi e prestazioni nei loro prodotti. Intel ha una consolidata esperienza nell’introduzione di nuove tecnologie nel settore.

Scegli Amazon Prime per i tuoi acquisti, è gratis per 30 giorni

Questo per fare avanzare la legge di Moore, come il silicio teso (strained silicon), il gate metallico Hi-K e FinFET. Con la tecnologia gate-all-around PowerVia e RibbonFET in arrivo nel 2024, Intel continua a guidare il settore nella progettazione di chip e nelle innovazioni di processo.

PowerVia è il primo a risolvere problema dei colli di bottiglia nelle interconnessioni. Un numero sempre crescente di casi d’uso, tra cui l’intelligenza artificiale e la grafica, richiede transistor più piccoli, più densi e più potenti per soddisfare le esigenze di calcolo a essi associate.

Da decenni, ormai, le linee di alimentazione e di segnale all’interno dell’architettura di un transistor utilizzano le stesse risorse a scapito uno dell’altra. Separando i due, i chip possono aumentare prestazioni ed efficienza energetica e fornire risultati migliori. L’alimentazione dalla parte posteriore è di vitale importanza per ridurre le dimensioni dei transistor, consentendo ai progettisti di aumentare la densità dei transistor senza sacrificare risorse per fornire più potenza e prestazioni.

Intel Power Via Test chips

La tecnologia introdotta

Intel 20A e Intel 18A introdurranno sia la tecnologia di alimentazione dalla parte posteriore PowerVia sia la tecnologia Gate-all-around RibbonFET. Trattandosi di un modo completamente nuovo di fornire energia ai transistor, l’implementazione dell’alimentazione dal retro ha sollevato nuove sfide per lo smaltimento del calore i debug.

Disaccoppiando lo sviluppo di PowerVia da RibbonFET, Intel è stata in grado affrontare rapidamente queste sfide per garantire la prontezza per l’implementazione nel silicio basato sui nodi di processo 20A e 18A. gli ingegneri di Intel hanno sviluppato tecniche di mitigazione per evitare problemi di surriscaldamento.

La comunità di debug ha inoltre sviluppato tecniche per garantire che la nuova struttura di progettazione potesse essere opportunamente sottoposta a debug. Di conseguenza, l’implementazione del test ha fornito validi parametri di rendimento e affidabilità, dimostrando al contempo il valore intrinseco della tecnologia ben prima che si unisca alla nuova architettura RibbonFET.

Il test ha inoltre fatto uso della progettazione consentite dalla litografia EUV (Extreme Ultraviolet), che ha consentito un utilizzo delle celle standard superiore al 90% su ampie aree del die, con una maggiore densità cellulare che si dovrebbe tradurre in una riduzione dei costi. Il test ha anche mostrato un miglioramento di oltre il 30% nella caduta di tensione della piattaforma e un vantaggio del 6% nella frequenza. Intel ha anche ottenuto caratteristiche termiche nel chip di test PowerVia in linea con le maggiori potenze previste dallo scaling logico.

Quali saranno i prossimi sviluppi?

In un terzo documento che sarà presentato al VLSI, il tecnologo di Intel Mauro Kobrinsky spiegherà la ricerca di Intel su modalità più avanzate di implementazione di PowerVia, compresa l’abilitazione del segnale e dell’alimentazione sia sulla parte anteriore sia su quella posteriore del wafer. Portare PowerVia sul mercato in anticipo rispetto agli altri operatori del settore, continuando a innovare, fa parte della lunga tradizione di Intel nel portare costanti avanzamenti al mercato.

HP Laptop 15s-fq2120nl Notebook, Intel Core i3-1115G4, RAM...
  • SISTEMA OPERATIVO: notebook HP con Windows 11 Home in modalità S, ottimizzata per la sicurezza; installazione delle app...
  • PROCESSORE: Intel Core i3 1115G4 raggiunge una velocità fino a 4,1 GHz con tecnologia Intel Turbo Boost, 6 MB di cache...
  • MEMORIA: 8GB DDR4 di Ram integrata e non espandibile (1 x 8GB), frequenza da 2666MHz, SSD SATA 3 TLC M.2 da 128 GB per...

Da non perdere questa settimana su Techprincess

🍎Nuovi iPad e accessori: cosa aspettarsi dall’evento Apple del 7 maggio
🛒 Le migliori offerte della Amazon Gaming Week
🎮
L’emulatore Nintendo Delta sta per arrivare su iPad
🪪Social card “Dedicata a te”:cos’è e come si potrà utilizzare il bonus da 460 euro
✒️ La nostra imperdibile newsletter Caffellattech! Iscriviti qui 
🎧 Ma lo sai che anche Fjona ha la sua newsletter?! Iscriviti a SuggeriPODCAST!
📺 Trovi Fjona anche su RAI Play con Touch - Impronta digitale!
💌 Risolviamo i tuoi problemi di cuore con B1NARY
🎧 Ascolta il nostro imperdibile podcast Le vie del Tech
💸E trovi un po' di offerte interessanti su Telegram!

Roberta Maglie

Amante del cinema, serie tv, tecnologia e video games, mi piace approfondire la cultura pop attraverso il battere delle mie dita sulla tastiera del MacBook. La laurea in Comunicazione mi ha dato la spinta per buttarmi nel mondo del giornalismo, dandomi così l’opportunità di riflettere sui temi più disparati.

Ti potrebbero interessare anche:

Lascia un commento

Il tuo indirizzo email non sarà pubblicato. I campi obbligatori sono contrassegnati *

Back to top button